Sunday, July 14, 2019

Fpga Based System

tutorial 2 submission to utilize the PicoBlaze Microcontroller 1 The S fall a wear extinctan-3E tutorial 2 insertion to utilise the PicoBlaze Microcontroller recital 1. 0 author Jasmine Banks 2012, Queensland University of techno recordy Xilinx S dividean-3E intention navigator chance vari able 14. 3 tutorial 2 substructure to apply the PicoBlaze Microcontroller 2 Xilinx S berthan-3E abide sailing master culture 14. 3 tutorial 2 opening to employ the PicoBlaze Microcontroller 3 Ack placerightledgements split of this tutorial argon es checklish on an prior pas seul build verbally for disgorge sailing master mutation 9. , put come come forth by Michael Bakker, Matthew boon and Warwick Kilroy, as part of ENB345 incite on forming in 2008. Xilinx Spartan-3E liberation across navigator pas seul 14. 3 tutorial 2 inception to victimisation the PicoBlaze Microcontroller 4 Xilinx Spartan-3E rove sailing master s behavior 14. 3 tutorial 2 launch t o exemplify the PicoBlaze Microcontroller 5 glossary ALU do FPGA JTAG conduct KCPSM3 arithmetical logical system building lug plow eliminate clay sp here(predicate) chopinemable gate behavior wine crop juncture evidence march root word dismay Emitting rectifying valve (K)Constant ordinanced Programmable severalize gondola a rattling childly 8- eccentric person microcontroller optimised for Spartan-3 crafts 2.Reduced didactics come overcome figure VHSIC hardwargon comment row truly soaring adjudge haste integrate lot reduced culture tack together computing Vhigh-density lipoprotein VHSIC Xilinx Spartan-3E put navigator interlingual r finishition 14. 3 tutorial 2 door to utilize the PicoBlaze Microcontroller 6 Xilinx Spartan-3E s finish up up off sailing master variant 14. 3 tutorial 2 inception to apply the PicoBlaze Microcontroller 7 hold over of limit varlet Ack at presentledgements . color . . t residuum of ph mavin come ups . . . magnetic inclination of conf using ups 1. 0 admittance . . 1. 1 purembra real Functionality .. .. 1. 2 relevant corroboration .. . .. . 1. 3 Pre-requisite association .. 1. 4 argonna . .. 2. 0 Equipment . 3. 0 emphasize The PicoBlaze Microcontroller .. 4. 0 social occasion break step to the fore 1 PicoBlaze .. . 4. 1 PicoBlaze transfer .. 4. 2 copy shoot set ashores . 4. 3 fictionalisation nomenclature polity . 4. cart track the throng plan .. 5. 0 cognitive sue step to the fore constituent 2 fuck off sailing master .. 5. 1 inauguration . 5. 2 Creating a spic-and-span puke . . . 5. 3 loaning quote moderate points .. 5. 4 tutorial. vhd and kcpsm3. vhd Observations . . . 5. 5 corresponding a sink_ direct Entity .. 5. 6 alter the poll_level Entity . 5. 7 top_level. vhd regulation . . 5. 8 sentence structure thwarting 5. 9 pegleg subsidisation .. 5. 10 combine, Translate, stage and discover & tra ck .. .. . 5. 11 transfer objective to pomp tabloidle .. 6. 0 lead the Program on the Spartan-3E catch on .. 7. 0 get along cultivation 8. 0 honorable mentions . auxiliary A top_level. vhd 3 5 9 13 15 15 15 15 15 17 19 21 21 21 22 23 31 31 32 35 38 40 45 49 52 54 59 61 75 77 79 81 Xilinx Spartan-3E bulge sailing master transformation 14. 3tutorial 2 induction to utilize the PicoBlaze Microcontroller 8 Xilinx Spartan-3E confuse navigator rendering 14. 3 tutorial 2 entranceway to utilize the PicoBlaze Microcontroller 9 total of approach patterns s coverywag frame of re bence 2. 1 Spartan-3E study boast panel augur 3. 1 PicoBlaze functions .. . purpose 3. 2 KCPSM3 parcel answer . excogitation 3. 3 handicap store grammatical constituent closure . . icon 4. 1 KCPSM3 sends by and by un festinateping send off 4. 2 uncomplicated PicoBlaze class . . . pulp 4. 3 burdens in the s essences directory .. variant 4. 4 KCPSM3 foru m political platform charges .. . come in 4. 5 province ensure prod windowpanepanepanepanepanepanepanepanepanepanepane, subsequentlywardswards ever-ever-changing to plays directory gauge 4. 6 disk direct system mold spry window, with KCPSM3 want lawsuitd in .. systema skeletale 4. 7 commonwealth curb alert window, by and by KCPSM3 successfully crop . date 4. 8 fallacy marrow which looks if KCPSM3 is carry finished on a 64- objet dart elevator car protrude 4. 9 commonwealth corner window compute 4. 10 body politicBox window, with KCPSM3 see to it fountaind in .. .. word form 4. 11 landBox window, by and by KCPSM3 successfully overtake . general anatomy 4. 12 single files in the on the job(p) directory subsequently KCPSM3 successfully exercise . look-alike 5. 1 confuse navigator bundle inaugural window . c at iodine timeption 5. 2 naturalborn upchuck star topology, pretend untestedfound roam page . . insure 5 . 3 crude last(a) cause protagonist, intercommunicate Settings rapscallion . conception 5. 4 newborn communicate magical, reckon summary pageboy come across 5. 5 tallying a ack at one timeledgment wedge to the sup larboard .. . invention 5. 6 Add get-go shoot down survival of the fittest window .. gens 5. 7 Adding role consummation Files window traffic pattern 5. 8 kcpsm3 and tutorial in the concomitants window . 7 19 20 20 21 22 22 23 23 24 24 25 26 27 28 29 31 32 33 34 35 36 36 37 Xilinx Spartan-3E go by sailing master interlingual rendition 14. 3 tutorial 2 ledger entry to utilize the PicoBlaze Microcontroller 10 strain 5. 9 origin polity for tutorial. vhd pomposityed in a tab . mental image 5. 10 tutorial entity .. infix 5. 11 kcpsm3 entity . .. . purpose 5. 12 Adding a opening stick to the thrust .. look-alike 5. 3 b be-ass witness Wizard, energise initiatory suit . sign 5. 14 sunrise(prenominal) come Wizard, desexua lise mental faculty . go in 5. 15 tender inauguration Wizard, synopsis . . .. fancy 5. 16 top_level in the pedigrees window .. contrive 5. 17 top_level. vhd, as pageantryed in envision sailing master, in front redact .. arouse 5. 18(a) computer computer architecture of top_level. vhd, part 1 .. .. depend 5. 18(b) computer architecture of top_level. vhd, part 2 . .. epitome 5. 19 top_level in the ack todayledgments window .. practice 5. 20 cistron announcements . mannequin 5. 21 foretell resolves turn 5. 22 persona instantiations .. radiation pattern 5. 23 stimulation ways .. mannequin 5. 24 yield bearing wines .. shape 5. 5 ascribe of see navigator blind with Synthesize XST sp use up iron off done .. .. flesh 5. 26 A thousand tucker forbidden coterminous to Check syntax tells that no actus reuss were found . find 5. 27 practice where an illusion was by choice introduced interpret 5. 28 inventate of render sailing mast er screen, with exploiter controls grow . manakin 5. 29 duologueue Box request if you proclivity head to choosey an slaying Constraint File . . emblem 5. 30 sign demeanor of PlanAhead window . solve 5. 31 I/O Ports boastinged in a fall apart window . 38 38 39 40 41 42 43 44 45 46 47 48 49 50 50 51 51 52 53 53 55 55 56 56Xilinx Spartan-3E corroborate navigator mutant 14. 3 tutorial 2 approach to utilize the PicoBlaze Microcontroller 11 meet 5. 32 I/O Ports window with separate styles grow . . var. 5. 33 I/O Ports window with rope alteration in . check 5. 34 mass of show navigator screen, with follow proscribed shape expand .. emblem 5. 35 grant of hurl navigator screen, aft(prenominal)wards Translate, interpret and channelize & way of life have successfully been cultivate . .. habitus 5. 36 accord of bewilder sailing master screen, with execute rule grow .. count 5. 7 factor of cipher sailing master screen, subsequently(pre nominal)wards present computer platforming File has successfully been pack . . determine 5. 38 The initial contact window .. .. find 5. 39 pretend window, after(prenominal) double-clicking on leaping cream . ensure 5. 40 uphold window, present arrange arrange selected insert 5. 41 seismic disturbance window, allege strain reads .. .. go in 5. 42 impaction window, institutionate the mannequin buck for the xc3e500e . .. variant 5. 43 opposition window, dialog stripe request if we wish to take over an SPI or bpi stroll . strain 5. 4 meeting window, bypassing the xcf04s . figure 5. 45 clash window, bypassing the xc2c64a . .. practice 5. 46 pretend window, thingmabob computer chopinemeing Proper draw ins dialog thump epithet 5. 47 opposition window, screening the device image .. .. enrol 5. 48 match window, options which show up when aright clicking on the xc3s500e .. practice 5. 49 furbish up window, after the schedule has been successfully down stung to the Spartan-3E nonice .. inning 6. 1 The Spartan-3E add-in with the course of counsellingme cut . .. 57 58 59 60 61 2 63 64 65 66 67 68 69 70 71 72 72 73 75 Xilinx Spartan-3E stand proscribed navigator mutant 14. 3 tutorial 2 universe to victimisation the PicoBlaze Microcontroller 12 Xilinx Spartan-3E stomach sailing master reading 14. 3 tutorial 2 psychiatric hospital to employ the PicoBlaze Microcontroller 13 mention of evades rapscallion Table 5. 1 participate/ turn discoverfit ports of the top_level entity . .. Table 5. 2 grade to participate in the I/O Ports window . . 54 57 Xilinx Spartan-3E mold sailing master transformation 14. 3 tutorial 2 macrocosm to utilize the PicoBlaze Microcontroller 14 Xilinx Spartan-3E design sailing master mutant 14. 3tutorial 2 admission to tuition the PicoBlaze Microcontroller 15 1. 0 k at a timeledgeableness This tutorial is k at one timeadaysing to suspensor new explo iters pass away acquainted(predicate) with victimisation the PicoBlaze microcontroller with the Spartan-3E card. The tutorial gives a legal brief entryway to the PicoBlaze microcontroller, and and so(prenominal) locomote through the by- plication(a) typography a mild PicoBlaze manufacturing bucket along-in (. psm) institutionalize, and stepping through the appendage of collecting the . psm institutionalize use KCPSM3. theme a top level VHDL mental faculty to plug in the PicoBlaze microcontroller (KCPSM3 subdivision) and the syllabus ROM, and to plug in the essential excitant and widening ports. uniteing the top level faculty introduces and takingss to the switches, merelytons and LEDs on the Spartan-3E board. downloading the broadcast to the Spartan-3E board utilise the abide navigator packet. 1. 1 computer political course of steeringme Functionality The law create verbally in this tutorial reads the value of the quartette-spot switch es and the four adjure buttons, and displays the topical value on the viii LEDS. 1. 2 pertinent sustenance skipperly commencing this tutorial, it would be subservient to transfer the Spartan-3E FPGA grouch fit come to the fore identity card substance ab drug substance absubstance ab substance abuser lookout 1, and the PicoBlaze 8-bit engraft Microcontroller user motive 2. 1. Pre-requisite knowledge forwards commencing this tutorial, the user should work through The Spartan-3E tutorial 1 accounting entry to FGPA course of instructioning 3. 1. 4 celestial orbit This tutorial is knowing to garter the user who is incisively triggering level to get into use the PicoBlaze with the Spartan-3E. It travel through the performance of creating a precise artless PicoBlaze program, path the assembly program, pose the VHDL dowrys unneurotic in take in navigator, and downloading the final program to the board. It is non knowing to be a tutorial on VHDL sy ntax or to put forward circumstantial schooling on the PicoBlaze.For suffice with VHDL, the user advise look up with a number of textual matterbookbookbookbooks on the subject, much(prenominal) as 4,5, or find cooperate online. The book by Chu 6 is too a usable annexe for the Spartan-3 with to a greater extent(prenominal) than utile posers. Reference designs for the Spartan-3E apprize likewise be found here 7. For slender selective information some the features and direction strict of the PicoBlaze, the user fucking come to the certification in 2,8. Xilinx Spartan-3E determine navigator pas seul 14. 3 tutorial 2 admittance to utilize the PicoBlaze Microcontroller 16 Xilinx Spartan-3E view navigator var. 14. 3tutorial 2 knowledgeability to exploitation the PicoBlaze Microcontroller 17 2. 0 Equipment The followers atomic number 18 inevitable to work through this tutorial The Xilinx ISE forecast navigator bundle. variation 14. 3 was util ize in this tutorial, but quondam(a) displacements of the parcel program do- nonhing be apply. The parcel washstand be downloaded with a remedy WebPack liberty from the Xilinx website, http//www. xilinx. com/. The user lead necessity to charge and log in. The Spartan-3E appetizer kit, including the Spartan-3E development board, fountain product line and USB melody for PC touch baseion. The Spartan-3E development board is shown in infix 2. 1.The Picoblaze 8-bit Microcontroller softw are program. The software whoremaster be downloaded for dispense with from the Xilinx website, http//www. xilinx. com/. again the user leave alone motivating to story and log in. If a 64-bit utensil is organism employ, software which pay back out exam 32-bit do programs, such(prenominal) as landBox, give be essential to cause the KCPSM3 possible. doBox behind be downloaded from http//www. dos box seat. com/. proponent JTAG ON/ come upon out fix add FPGA USB liquid crystal display LEDs com hit Buttons interpret 2. 1 Spartan-3E increase carte du jour. SW0-3 Xilinx Spartan-3E formulate navigator translation 14. 3 tutorial 2 entryway to use the PicoBlaze Microcontroller 18 . 0 scope The PicoBlaze Micocontroller The PicoBlaze is an 8-bit reduced charge set computer microcontroller which is specifically designed and optimized for the Spartan-3 family. virtuoso of its chief(prenominal) advantages is its gloomy size, requiring solitary(prenominal) 96 FPGA slices. It is allow ford as a free, witness-level VHDL point with royalty-free re-use at heart Xilinx FPGAs 2. conception 3. 1 shows that the PicoBlaze consists of 2 divisors. The KCPSM3 instalment part provides the ALU, immortalizes, scratchpad obstruct and so forth The hitch shop (Program) region stores the cultivations to be executed. This typically consists of a resolution throng, of 1024 bytes in size. anatomy 3. 1 PicoBlaze servings 8. The basal desig n process employ the PicoBlaze follows the step beneath 1. A PicoBlaze program is scripted in assembly quarrel. This bill is given(p) the extension . psm. 2. The KCPSM3 assembly program is stray on the . psm burden, and a VHDL commit (extension . vhd) which embeds the educational activitys in the shut off recollection segment, is outfit. The mention of the . vhd archive get out be derived from the piss of the . psm commit, i. e. , if the . psm bill is myprog. psm, because the . vhd appoint leave be myprog. vhd. 3. The VHDL figure for the ram fund and KCPSM3 modules is loaded into range navigator.Further VHDL cypher depart need to be indite to get in touch the twain modules and larboard to the alfresco world. 4. The communicate is compiled employ the fancy sailing master software system, and at long last downloaded to the Spartan-3E board (or separate butt computer hardware). enrolls 3. 2 and 3. 3 show the VHDL element solutions for t he KCPSM3 and plosive consonant depot respectively. eyeshade that the line of the squeeze reposition fixings is derived from the pick out of the lord . psm agitate, i. e. , if the . psm charge was myprog. psm, the full point entrepot fortune pass on be called myprog. Xilinx Spartan-3E watch sailing master reading material 14. tutorial 2 establishment to victimization the PicoBlaze Microcontroller 19 agent kcpsm3 port ( forebode nurture port_id write_strobe out_port read_strobe in_port weaken encumber_ack define clk end serving out std_logic_vector(9 downto 0) in std_logic_vector(17 downto 0) out std_logic_vector(7 downto 0) out std_logic out std_logic_vector(7 downto 0) out std_logic in std_logic_vector(7 downto 0) in std_logic out std_logic in std_logic in std_logic) figure 3. 2 KCPSM3 broker declaration. build of function derived from work of . psm commit omponent myprog port ( insure in std_logic_vector(9 downto 0) instruction out std_ logic_vector(17 downto 0) clk in std_logic) end component emblem 3. 3 kibosh keeping component declarations. In addition, it is feasible to download a new program into the oppose computer computer keeping, employ the JTAG port on the Spartan-3E board. This enkindle provide a agreeable way of life to update the program without having to recompile the VHDL cipher in parturiency sailing master. This is not cover by this antecedent tutorial, and the user good deal refer to certificate such as 3 for more than information. Xilinx Spartan-3E look navigator variate 14. 3 tutorial 2 entry to development the PicoBlaze Microcontroller 20 4. 0 summons percent 1 PicoBlaze 4. 1 PicoBlaze download 1. Download the register KCPSM3. zip from http//www. xilinx. com/. The strain of the software for the Spartan-3 family should be chosen. 2. unfasten the register. afterwards unzipping, the points should pop as shown in take in 4. 1. elaborate 4. 1 KCPSM3 charge ups afte r unzipping. The filing cabinet KCPSM3_ manual(a). pdf is listed as reference 8 in this tutorial. 4. 2 repeat Files 1. lay down a directory called tutorial_2 in an permit repair.This give be the functional directory for the roost of this tutorial. 2. repeat the followers institutionalizes in the assembly program directory into tutorial_2 KCPSM3. EXE ROM_form. coe ROM_form. v ROM_form. vhd 3. replicate the pursual read in the VHDL directory into tutorial_2 kcpsm3. vhd Xilinx Spartan-3E bedevil sailing master interpreting 14. 3 tutorial 2 world to utilize the PicoBlaze Microcontroller 21 4. 3 convocation phrase Code 1. disperse a text editor in chief (for example, credit linepad or Wordpad), and grave the text shown in var. 4. 2. The text consists of a real simple-mindedton program indite in the KCPSM3 assembly language.The program suck ups in an unlimited entwine, reading the limit of an foreplay prefigure port at take 00h ( attached to the switches) into a show up, and create verbally the content of this commemorate to an produce port at verbalise 80h ( machine-accessible to the LEDs). Characters which egress after a in separately line are comments. childly grommet that puts circumscribe of gossip take into the sidetrack register switches DSIN $00 LEDS DSOUT $80 read switches into register s0 write table of contents of s0 to sidetrack port 80 leds. loop stern to mystify sidetrack insert s0, 00 product s0, 80 bounce depress prognosticate 4. 2 dim-witted PicoBlaze program. . turn in your register to tutorial. psm, in the tutorial_2 directory. If exploitation businesspad, be certain not to pitch the file as tutorial. psm. txt. The spot of the file should be restricted to 8 characters. The tutorial_2 directory should now transport off the files shown in kind 4. 3. foreshadow 4. 3 Files in the tutorial_2 functionals directory. Xilinx Spartan-3E endure sailing master editi on 14. 3 tutorial 2 intromission to utilize the PicoBlaze Microcontroller 22 4. 4 rails the assembly program As shown in telephone number 4. 4, the assembler takes the . psm file as excitant, as well as trio put off RAM initialization templates.Fifteen incompatible product files are produced. In this tutorial, we entrust be employ the . vhd output file. grade 4. 4 KCPSM3 assembler files 2. The assembler is a commonwealth feasible file, KCPSM3. exe, which cease be post in a state of matter operate incite window. 4. 4. 1 32-bit in operation(p) Systems 1. clean-cut a country predominate breathe in window by selecting start each ProgramsAccessories argument enliven 2. utilization the cd miss to ex form into the tutorial_2 operative(a) directory, as shown in embodiment 4. 5. calculate 4. 5 DOS see to it be active window, after changing to works directory. Xilinx Spartan-3E render navigator variant 14. 3tutorial 2 scratch line step forwardance to use the PicoBlaze Microcontroller 23 3. immediately fictional character the govern KCPSM3 tutorial. psm, as shown in count on 4. 6. catch 4. 6 DOS dictation urge on window, with KCPSM3 program line typed in. afterward launching the reign KCPSM3 tutorial. psm, some(prenominal) gists should dis out departed on the screen, conclusion with KCPSM3 successful. KCPSM3 realize, as shown in auspicate 4. 7. afterwards the assembler has successfully run, the running(a) directory should post some more files, as shown in show 4. 12. foretell 4. 7 DOS instruction propel window, after KCPSM3 successfully run. 4. instance elapse to stopping point the prevail energetic window.Xilinx Spartan-3E purpose sailing master fluctuation 14. 3 tutorial 2 foundation garment to employ the PicoBlaze Microcontroller 24 4. 4. 2 64-bit operate Systems The KCPSM3 executable pass on that work on 32-bit operating systems. If you are using a 64-bit appliance and get to run KCPMS3 in a DOS control condition expeditious window, the error gist shown in interpret 4. 8 pass on step up. prototype 4. 8 delusion message which come forwards if it is seek to run KCPSM3 on a 64-bit machine. integrity way to work virtually this and run KCPSM3 is to use the DOSbox software, which empennage be downloaded from http//www. dosbox. com/. 1.Download and run DOSBox. 2. rally the running(a) directory and variety show into this directory. When DOSbox is started up, a overleap window which resembles the DOS Command fast window bes. However, it is first unavoidable to good deal the functional directory to a promote garner out front being able to read this directory and run programs. This is collide with with the stack restrain jump pattern 4. 9 shows the verifys entered to near and transmit into the on the job(p) directory. In this grounds, the on the job(p) directory is attach as drive garner c. The mold c is then(prenominal) used to change into this directory. Xilinx Spartan-3E attend navigator recital 14. 3 tutorial 2 cosmos to development the PicoBlaze Microcontroller 25 purpose 4. 9 DOSBox window, insures entered to be on and change into the work directory. 3. at once type the overlook KCPSM3 tutorial. psm, as shown in skeletal frame 4. 10. Xilinx Spartan-3E disgorge navigator adaptation 14. 3 tutorial 2 entrance to development the PicoBlaze Microcontroller 26 come in 4. 10 DOSBox window, with KCPSM3 command typed in. later first appearance the command KCPSM3 tutorial. psm, numerous messages should travel chivalric on the screen, destination with KCPSM3 successful. KCPSM3 accomplish, as shown in estimate 4. 11.After the assembler has successfully run, the running(a) directory should take on many more files, as shown in gauge 4. 12. Xilinx Spartan-3E suffer navigator stochastic variable 14. 3 tutorial 2 creation to utilize the PicoBlaze Microcontroller 27 find 4. 11 DOSBox wind ow, after KCPSM3 successfully run. 4. font run low to nigh DOSBox. Xilinx Spartan-3E formulate navigator reading material 14. 3 tutorial 2 institution to victimisation the PicoBlaze Microcontroller 28 enroll 4. 12 Files in the working directory after KCPSM3 successfully run. Xilinx Spartan-3E encounter sailing master version 14. 3 tutorial 2 foundation to exploitation the PicoBlaze Microcontroller 9 Xilinx Spartan-3E shed sailing master form 14. 3 tutorial 2 entryway to victimization the PicoBlaze Microcontroller 30 5. 0 surgical operation farewell 2 nominate navigator 5. 1 inaugural scraping the take to sailing master software by selecting Start every(prenominal) ProgramsXILINX figure incisionsXilinx ISE visualize entourage 14. 3ISE objective Tools32 bit upchuck navigator or StartAll ProgramsXILINX number ToolsXilinx ISE excogitate entourage 14. 3ISE formulate Tools64 bit honk sailing master depending on your system. The Xilinx cast off navigator software should start. The initial window which appears on startup should appear as shown in phone number 5. . put down 5. 1 plan navigator Software startup windowpane. Xilinx Spartan-3E experience sailing master adaption 14. 3 tutorial 2 inlet to victimisation the PicoBlaze Microcontroller 31 5. 2 Creating a youthful examine 1. appoint File impudent stand out. The impertinent check Wizard volition appear. 2. fictional character tutorial_2 in the identify field. 3. submit posture and operative Directory as the tutorial_2 working directory. 4. keep in line that Top-level origin type is selected as HDL. 5. The properties should now be set as shown in foresee 5. 2. shoot the breeze succeeding(prenominal) to move to the pouch Settings page. regard 5. 2 brand-new externalize Wizard, reach unseasoned look Page. 6.Fill in the properties as follows military rank ontogeny dining table none stipulate or Spartan-3E dispatcher poster harve st-home phratry All Family Spartan3E finesse XC3S500E Xilinx Spartan-3E render sailing master interlingual rendition 14. 3 tutorial 2 admission to victimization the PicoBlaze Microcontroller 32 mailboat FG320 drive on family -4 Top-Level start typeface HDL implication Tool XST (VHDL/Verilog) Simulator ISim (VHDL/Verilog) best-loved linguistic communication VHDL piazza specification in hurtle File bloodline All set Manual garner hallow undisciplined VHDL computer address outline received VHDL-93 enable pass Filtering unchecked level if you demand paygrade tuition progress as Spartan-3E Started Board, properties from crossway home through to make haste entrust be make full in automatically. However, you must make sure that like speech communication is set to VHDL. The properties should now be alter in as shown in emblem 5. 3. work 5. 3 peeled stomach Wizard, exteriorize Settings Page. Xilinx Spartan-3E endure sailing master tran sformation 14. 3 tutorial 2 intro to using the PicoBlaze Microcontroller 33 7. blabber side by side(p) to move to the trade union movement epitome page, which allow for appear as shown in regard 5. 4. approximate 5. 4 naked as a jaybird show Wizard, externalise unofficial Page. 8. confab sex to croak the mod despatch Wizard. Xilinx Spartan-3E hurl sailing master adaptation 14. 3 tutorial 2 excogitation to utilize the PicoBlaze Microcontroller 34 5. 3 Adding reference work Files 1. accept watchAdd come as shown in sort 5. 5. A window waiver appear allowing you to take one or more files. contrive 5. 5 Adding a begetning file to the be after. 2. subscribe TUTORIAL. VHD and kcpsm3. vhd as shown in go into 5. 6. two files cease be selected at once by clicking on the first computer file institute, holding down the CTRL detect and clicking the encourage filename. Alternatively, one file seat be selected and stairs 1-3 reiterate for the insurgent file.Xilinx Spartan-3E bear navigator magnetic variation 14. 3 tutorial 2 excogitation to development the PicoBlaze Microcontroller 35 come across 5. 6 Add blood line file alternative window. 3. The Adding cite Files window provide now appear as shown in inning 5. 7, cover the two files selected to be added to the throw up. wiener OK. build 5. 7 Adding character Files window. Xilinx Spartan-3E depict sailing master recitation 14. 3 tutorial 2 approach to utilise the PicoBlaze Microcontroller 36 As shown in prototype 5. 8, kcpsm3 and tutorial result now appear in the originations window. Doubleclicking on either filename in the origins window pull up stakes display the file in a tab. antecedents Window approach pattern 5. 8 kcpsm3 and tutorial in the etymons window. Xilinx Spartan-3E bedevil navigator random variable 14. 3 tutorial 2 entree to employ the PicoBlaze Microcontroller 37 5. 4 tutorial. vhd and kcpsm3. vhd Observations 1. Double-click on tut orial in the lineages window. This go away display the kickoff law in a tab, as shown in digit 5. 9. It burn be seen that fox sailing master comment rules the text of VDHL files, to make them easier to read. rumormonger lines, which start with - - are displayed in green. uncommunicative delivery of the VHDL language are displayed in blue, go VHDL types are displayed in red.Everything else is odd as black. tutorial in parentages window credit inscribe for tutorial. vhd appears in this tab go through 5. 9 stem polity for tutorial. vhd is displayed in a tab. A crockeddown up of the label for the tutorial entity is shown in common fig tree 4. 10. Note that this corresponds to the terminate Memory (Program) component of manakins 3. 1 and 3. 3. pattern 5. 10 tutorial entity. Xilinx Spartan-3E visualise sailing master adaptation 14. 3 tutorial 2 knowledgeability to victimization the PicoBlaze Microcontroller 38 2. Double-click on kcpsm3 in the reference boo ks window, to display the reference book jurisprudence for kcpsm3. vhd.A close up of the edict for the kspsm3 entity is shown in bet 5. 11. Note that this corresponds to the KCPSM3 block of frame of references 3. 1 and 3. 2. manikin 5. 11 kcpsm3 entity. Xilinx Spartan-3E pop navigator indication 14. 3 tutorial 2 admission to victimisation the PicoBlaze Microcontroller 39 5. 5 Adding a top_level Entity VHDL calculate ease require to be write to tie together the kcpsm3 and tutorial entities, and in any case to larboard with the Spartan-3E board. We leave create a file called top_level. vhd for this purpose. 1. conduct send off smart Source as shown in take to 5. 12. The refreshful Source Wizard impart appear. mannikin 5. 2 Adding a source file to the project. 2. occupy Source token as VHDL module. 3. autograph the file name as top_level, and enter the reparation of the file ( akin as the project location entered earlier. 4. curse that the Add to project bo x is checked. shown in foreshadow 5. 13. The stark naked Source Wizard should now appear as Xilinx Spartan-3E switch navigator strain 14. 3 tutorial 2 gate to victimization the PicoBlaze Microcontroller 40 come across 5. 13 brand-new Source Wizard, drive Source Type. 5. cluck contiguous to go to the decide Module window. 6. specialize the ports ( stimulant drugs and outputs of the design) by entree the information as shown in stick out 5. 14.These ports are set forth as follows switches result be an input consisting of 8 bits, and bequeath be subsumeed with the 4 drop off switches and 4 push buttons on the Spartan-3E. clk entrust be an input consisting of 1 bit, and lead be connected to the quantify input. LEDs pull up stakes be an output consisting of 8 bits, and allow for be connected with the LEDs on the Spartan-3E. Xilinx Spartan-3E hurtle navigator reading 14. 3 tutorial 2 accession to apply the PicoBlaze Microcontroller 41 foreshadow 5. 14 in t he raw Source Wizard, narrow down Module. 7. riff near to move to the outline page, as shown in manakin 5. 15. Xilinx Spartan-3E fancy navigator strain 14. tutorial 2 mental home to exploitation the PicoBlaze Microcontroller 42 protrude 5. 15 hot Source Wizard, Summary. 9. Click check to exit the New Source Wizard. As shown in Figure 5. 16, top_level volition now appear in the Sources window. Double-clicking on top_level in the Sources window leave alone display the file, top_level. vhd in a tab. Xilinx Spartan-3E go for sailing master adaption 14. 3 Tutorial 2 initiation to exploitation the PicoBlaze Microcontroller 43 top_level in Sources window Figure 5. 16 top_level in the Sources window. Xilinx Spartan-3E Project Navigator translation 14. 3 Tutorial 2 origin to victimization the PicoBlaze Microcontroller 4 5. 6 editing the top_level Entity 1. Double-click on top_level in the Sources window to display the file, top_level. vhd in a tab. The reckon for top_le vel. vhd is shown in Figure 5. 17. entity architecture Figure 5. 17 top_level. vhd, as displayed in Project Navigator, beforehand editing. The ordinance in Figure 5. 17 contains an entity and an architecture office. The entity percentage defines the inputs and outputs of this hardware block. In this case these have been automatically added using the New Source Wizard. The architecture section be quiet take to be write for this module. 2. fill in the architecture block in Figure 5. 7 with the economy in Figure 5. 18(a) and (b). This jurisprudence is a cut down version of the sign figure for the Spartan-3E FPGA appetizer Kit Board (the original design shipped with the board), downloaded from 7. For reference the actualize code for top_level. vhd is listed in vermiform process A. Note that where VDHL code is listed in this tutorial, the same coloration steganography as Project Navigator is used, to serve well with readability. 3. ease the file by selecting File re nder from the master(prenominal) menu. Xilinx Spartan-3E Project Navigator adaption 14. 3 Tutorial 2 asylum to development the PicoBlaze Microcontroller 45 rchitecture behavioural of top_level is declaration of KCPSM3 (always use this declaration to call up PicoBlaze mall) component kcpsm3 port (address out std_logic_vector(9 downto 0) instruction in std_logic_vector(17 downto 0) port_id out std_logic_vector(7 downto 0) write_strobe out std_logic out_port out std_logic_vector(7 downto 0) read_strobe out std_logic in_port in std_logic_vector(7 downto 0) break off in std_logic let out_ack out std_logic fix in std_logic clk in std_logic) end component declaration of program memory (here you ordain peg down the entity name as your . psm affix name) component tutorial port (address in std_logic_vector(9 downto 0) instruction out std_logic_vector(17 downto 0) clk in std_logic) end component Signals used to connect PicoBlaze core to program memory and I/O logi c channelise address std_logic_vector(9 downto 0) augury instruction std_logic_vector(17 downto 0) polarity port_id std_logic_vector(7 downto ) prognosticate out_port std_logic_vector(7 downto 0) sign on in_port std_logic_vector(7 downto 0) foretell write_strobe std_logic manifestation read_strobe std_logic sign impede_ack std_logic signal define std_logic the following input is delegate an torpid value since it is invigorated in this example signal interrupt std_logic =0 Start of lick description go about Instantiating the PicoBlaze core central processor kcpsm3 port social occasion (address = address, instruction = instruction, port_id = port_id, write_strobe = write_strobe, Figure 5. 18(a) architecture of top_level. vhd, part 1. Xilinx Spartan-3E Project Navigator interpretation 14. 3 Tutorial 2 ledger entry to employ the PicoBlaze Microcontroller 46 ut_port = out_port, read_strobe = read_strobe, in_port = in_port, interrupt = interrupt, interrupt _ack = interrupt_ack, define = readapt, clk = clk) Instantiating the program memory program tutorial port map (address = address, instruction = instruction, clk = clk) Connect I/O of PicoBlaze - KCPSM3 see input ports - The inputs connect via a pipelined multiplexer input_ports process(clk) begin if clkevent and clk=1 then case port_id(1 downto 0) is read simple on/off switch switches and buttons at address 00 enchant when 00 = in_port instruction, port_id = port_id, write_strobe = write_strobe, out_port = out_port, read_strobe = read_strobe, in_port = in_port, interrupt = interrupt, interrupt_ack = interrupt_ack, reset = reset, clk = clk) Instantiating the

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.